博客
关于我
强烈建议你试试无所不能的chatGPT,快点击我
Modelsim建立UVM环境
阅读量:4560 次
发布时间:2019-06-08

本文共 998 字,大约阅读时间需要 3 分钟。

http://weixin.niurenqushi.com/article/2016-07-11/4359380.html

 

 

 

modelsim中建立UVM环境,使用的UVM是UVM1.1d。

      如果安装的是modelsim 10.4版本的话,软件自动的将UVM的库给编译好了,生成了.dll文件,供UVM验证使用。

      在modelsim的安装目录下的 UVM-1.1d/win64下,就有一个uvm_dpi.dll。

 

 

 

      以hello_world.sv这个例子为例,说明验证环境的搭建。在这个文件目录下,有3个文件。

 

     

 Hello_world.sv: 验证的代码

      Run.bat:windows运行的脚本

      Sim.do:  modelsim运行的脚本文件

 

      对于hello_world.sv,该程序,就是输出一个hello uvm。

 

 

 

      对于rum.bat,就一句,就是调用modelsim,使用-do选项,当 modelsim启动后,自动执行sim.do脚本。

 

      对于sim.do文件

      代码

set  UVM_DPI_HOME   C:/software/modeltech64_10.4/uvm-1.1d/win64

vlib work

vlog  -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF  hello_world.sv

vsim -c -sv_lib $UVM_DPI_HOME/uvm_dpi   work.hello_world_example

run 100

 

 

      设置环境变量UVM_DPI_HOME,指定modelsim下的UVM1.1d的DPI的位置

      建立work library

      编译hello_world.sv代码,通过-L 指定编译需要的几个library

      执行仿真,通过-sv_lib选项,执行UVM1.1d的uvm_dpi.dll,然后针对于哪一个module进行仿真

      运行100ns

 

      执行,也很简单了,直接对run.bat双击。

 

      

就会调用modelsim,然后开始执行sim.do脚本。      

      

最后,就会显示Hello UVM。

 

      至此,验证环境搭建成功。后面,就是增加代码文件,然后在sim.do文件中,对增加的代码文件进行编译,然后针对于顶层module进行仿真即可。

转载于:https://www.cnblogs.com/hfyfpga/p/7088831.html

你可能感兴趣的文章
Mybatis步骤
查看>>
WPF自定义控件之扩展原生控件
查看>>
《区块链100问》笔记整理——42~49问
查看>>
使用Jquery+EasyUI 进行框架项目开发案例讲解之二---用户管理源码分享
查看>>
深入理解计算机系统(1.4)---并发与并行、浅谈抽象
查看>>
函数依赖的公理化系统
查看>>
rabbitmq学习(四):利用rabbitmq实现远程rpc调用
查看>>
侯捷C++学习(二)
查看>>
EasyPlayer RTSP Android安卓播放器修复播放画面卡在第一帧bug
查看>>
web项目中全局常量的添加
查看>>
搬运工程 启动!
查看>>
局部加权回归(LWR) Matlab模板
查看>>
Connect to the DSP on C6A8168/DM8168/DM8148 using CCS
查看>>
hibernate在使用getCurrentSession时提示no session found for current thread
查看>>
【Luogu1471】方差(线段树)
查看>>
DEV中svg图标的使用
查看>>
Codefroces Gym101572 I.Import Spaghetti-有向图跑最小环输出路径(Floyd)
查看>>
有关位运算的操作+二进制状态压缩
查看>>
Eclipse插件 -- 阿里巴巴扫描编码规插件
查看>>
(1.1)学习笔记之mysql体系结构(内存、进程、线程)
查看>>